CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog f

搜索资源列表

  1. digital-tube

    0下载:
  2. 实现开发板上的数码管静态循环显示0~F。通过这个实验,掌握采用Verilog HDL语言编程实现7段数码管显示译码器的方法。-The digital realization of the development board cycling static display 0 ~ F. Through this experiment, using Verilog HDL language to master programming 7-segment display decoder method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:310024
    • 提供者:松竹
  1. MSequenceGenerator

    1下载:
  2. 5位的M序列发生器,verilog代码实现。5次本原多项式采用f(x)=x^5+x^2+1-5 of the M-sequence generator, verilog code. 5 using a primitive polynomial f (x) = x ^ 5+ x ^ 2+1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:109470
    • 提供者:陈振睿
  1. jf

    0下载:
  2. verilog编写的alu模块4bit ALU(运算逻辑单元)的设计 给出了此次设计alu的输入输出结构及相应的位数。其中C0是一位的进位输入,A和B分别是4位的数据输入,S0、S1、M分别为一位的功能选择输入信号;Cout是一位的进位输出,F是4为的运算结果输出-Verilog modules prepared by the ALU4bit ALU (arithmetic logic unit) design is given in the design of alu input and ou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:839
    • 提供者:王川
  1. verilog4

    0下载:
  2. 用verilog语言编写的数码管显示实验程序。通过分频计数来使数码管以640ms间隔从1变化到F。压缩包内也包含此数码管显示实验程序的modelsim仿真文件。-Verilog language with digital display test program. By dividing the clock count to make the digital control to 640ms intervals from 1 to F. This package also contains a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:79189
    • 提供者:广子
  1. digitron_driver_V

    0下载:
  2. 关于easy fpga开发板的led数码管的驱动; 此为verilog程序 --输入:控制端ctrl_digin[2:0]共三位,表示(0~7)控制8个数码管的选通, -- 数据端dig_dtin[3:0]共四位,表示(0~F)控制数码管显示的数字 -- 控制时钟clk_dig一位用于时钟同步 --输出:显示dig_dtout[6:0]共七位,控制A,B,C,D,E,F,G[6:0]小数点不包括在内; -- 控制位ctrl_digout[7:0]共八位,任意时
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:871
    • 提供者:陈伟峰
  1. tetrix

    0下载:
  2. verilog 与门 A B输入 F 输出 两种写法 很好用-verilog 与门 A B输入 F 输出 两种写法 很好用
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2395
    • 提供者:sunchao
  1. FIR

    0下载:
  2. 10阶的F.I.R滤波器设计的 verilog代码-Verilog code for the 10-order FIR filter design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:589
    • 提供者:lubianke
  1. Pro_19

    0下载:
  2. Fpga,DDS,PLL,rom(正弦波)(f<13MHz,需要滤波)(Verilog)-Fpga, DDS, PLL, rom
  3. 所属分类:Other systems

    • 发布日期:2017-11-21
    • 文件大小:630526
    • 提供者:夏九星
  1. Matrix_Keyboard

    0下载:
  2. Verilog编写的4x4矩阵键盘扫描代码,可用QurtursII直接打开工程。具体实现的功能为按下按键,数码管可相应显示0、1、...E、F-Verilog prepared 4x4 matrix keyboard scan code and it s directly available in QurtursII . The concrete realization of the function: key is pressed, the digital tube to the corres
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:258572
    • 提供者:wicoboy
  1. PS2

    0下载:
  2. PS2键盘实验-识别0-9和A-F 数码管显示值,利用Verilog实现相关的情况。-PS2 keyboard experiment- Identify 0-9 and AF digital tube display values ​ ​ using Verilog related.
  3. 所属分类:Special Effects

    • 发布日期:2017-11-19
    • 文件大小:478430
    • 提供者:邓凯
  1. uart-project

    0下载:
  2. uart verilog zzpoifeow fwpoep wf wpo fpw pdfikwpoe e opfewiepfow [efkpow f pkw[fpkdw[kef[w fkepowkf[ok[ew f[pekwp fpoefi[wie-UART verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:599937
    • 提供者:silena
  1. EX4

    0下载:
  2. 基于可编程器件设计的一个电路,可以实现矩阵键盘的连续输入和数码管的移位显示功能。 1)连续按下按键0~9 、A~E,数字和字母将依次显示在4 位数码管上。 2) 按下F 时,数码管上不显示 F,当前显示的数字按一定频率闪烁。直至按下其他数字和字母后,再次稳定显示4 个数字。以此类推。 3)任何时刻按下实验板上的RST 键,可将电路清零. -Verilog, QuartusII run correctly, can be downloaded to the FPGA. Could
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2466129
    • 提供者:YZT
  1. number_16

    0下载:
  2. 该功能是:用四位二进制数作为输入,在FPGA上的七段数码管上将0到F这十六个数输出,用verilog语言来实现。-The function is: four binary number as input, seven-segment LED on the FPGA will be 0 to F number sixteen outputs with verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:190435
    • 提供者:qwe
  1. FIRAudio

    0下载:
  2. fı r_audio in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2366992
    • 提供者:fakher
  1. 20161122_ff

    0下载:
  2. MD5认证部分的第一轮中包含F函数的一次操作的FPGA实现源代码,采用Verilog,在Quartus II上综合-MD5 authentication part of the first round contains an F function of the operation of the FPGA implementation of the source code, using Verilog, integrated in the Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:326912
    • 提供者:柳广兴
« 1 2»
搜珍网 www.dssz.com